A defect behavior in boron shallow junction formation of Si under low-temperature pre-anneal and non-melt-laser anneal

Author(s):  
Suhei Hara ◽  
Yusuke Shigenaga ◽  
Satoru Matsumoto ◽  
Genshu Fuse ◽  
Susumu Sakuragi
1997 ◽  
Vol 70 (13) ◽  
pp. 1700-1702 ◽  
Author(s):  
R. Singh ◽  
K. C. Cherukuri ◽  
L. Vedula ◽  
A. Rohatgi ◽  
S. Narayanan

2000 ◽  
Vol 610 ◽  
Author(s):  
Jian-Yue Jina ◽  
Irene Rusakova ◽  
Qinmian Li ◽  
Jiarui Liu ◽  
Wei-Kan Chu

AbstractLow temperature annealing combined with pre-damage (or preamorphization) implantation is a very promising method to overcome the activation barrier in ultra-shallow junction formation. We have made a 32 nm p+/n junction with sheet resistance of 290 /sq. using 20 keV 4×1014 Ω/cm2 Si followed by 2 keV 1×1015 at./cm2 B implantation and 10 minutes 550 °C annealing. This paper studies the boron activation mechanism during low temperature annealing. The result shows that placing B profile in the vacancyrich region has much better boron activation than placing B profile in interstitial-rich region or without pre-damage. It also shows that a significant portion of boron is in substitutional positions before annealing. The amount of substitutional boron is correlated to the amount of vacancies (damage) by the pre-damage Si implantation. The result supports our speculation that vacancy enhances boron activation.


2002 ◽  
Vol 717 ◽  
Author(s):  
John O. Borland

AbstractLow temperature shallow junction formation is an attractive activation technique for 70nm technology node and beyond as it can easily be integrated into device structures that are formed using disposable spacer (reverse source drain extension formation) or low power CMOS devices using high-k/metal gate stack structures. Therefore, this paper will first review the shallow junction requirements as stated in the 2001 ITRS (international technology roadmap for semiconductors) and it's interpretation to ion implantation shallow junction formation for various dopant activation and annealing techniques. First high temperature (>1000°C) RTA spike, flash or sub-melt laser annealing techniques with oxide or oxynitride/polysilicon electrode gate stack structures will be discussed and its limitations to >8E19/cm3 boron electrically active dopant level due to boron solid solubility limit in silicon satisfying only the 100nm technology node requirement (2003). Next, higher temperature laser melt annealing (1200°C to 1400°C) will be discussed and it's applicability beyond 70nm node technology (2006) to 25nm node (2016) where boron solid solubility limit is up to 5E20/cm3. However, if high-k (HfO) dielectric/metal electrode gate stack structures are to be used starting at sub-100nm node in 2005 for low power CMOS then low temperature (>700°C) annealing must be used for shallow junction formation to prevent recrystallization and dielectric constant degradation. Using low temperature SPE (solid phase epitaxial regrowth) annealing techniques in the 550°C to 750°C for short anneal times of >5mins., shallow & abrupt junctions 8.0nm deep, >2.0nm/decade with up to 2.5E20/cm3 boron electrical active dopant level can be achieved satisfying the 25nm technology node (2016) requirements.


2004 ◽  
Vol 96 (1) ◽  
pp. 919-921 ◽  
Author(s):  
Lin Shao ◽  
John Chen ◽  
Jianming Zhang ◽  
D. Tang ◽  
Sanjay Patel ◽  
...  

2021 ◽  
Vol 135 ◽  
pp. 106093
Author(s):  
Yusuke Kuboki ◽  
Huan Zhu ◽  
Morihiro Sakamoto ◽  
Hiroshige Matsumoto ◽  
Kungen Teii ◽  
...  

Sign in / Sign up

Export Citation Format

Share Document